Intel-18A-Progress

Intel announces milestone in 18A fabrication process, Panther Lake CPU production expected in 2025 | Tech Tips




Chipmaking giant Intel has announced its lead products on the Intel 18A fabrication process. This will be used to manufacture a new generation of computer chips: the Panther Lake (AI PC client processor) and Clearwater Forest (server processor). Intel says these are out of the fabrication and have powered on and boosted the OS. The company was able to achieve this within less than two-quarters of tape-out.

Intel 18A fabrication process meets benchmarks

  • The Panther Lake and Clearwater Forest processors indicate the health of Intel 18A.
  • This is claimed to be the company’s leading-edge process technology that is expected to return Intel to process leadership in 2025.
  • Both Intel products are on track to start production in 2025 but specific dates are not revealed at the moment.
Intel-18A-ProgressIntel-18A-Progress
  • The company also announced that the first external customer is expected to tape out on Intel 18A in the first half of next year.
  • Intel 18A node integrates RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery

Intel says these milestones show the company’s Foundry is the first to successfully implement both RibbonFET gate-all-around transistors and PowerVia backside power technology for Foundry customers.


RibbonFET allows for tight control over the electrical current in the transistor channel, thereby enabling further miniaturisation of chip components while reducing power leakage, a critical factor as chips become increasingly dense. PowerVia optimises signal routing by separating power delivery from the front side of the wafer. This reduces resistance and improves power efficiency. 

Panther Lake meets DDR memory performance targets. On the other hand, in 2025, Clearwater Forest, the archetype of future CPU and AI chips will mark the industry’s first mass-produced, high-performance solution combining RibbonFET, PowerVia, and Fveros Direct 3D for higher density and power handling. Clearwater Forest is also the lead product for the Intel 3-T base-die technology. 

The company says that by leveraging Intel Foundry’s systems foundry approach, both products are expected to deliver significant improvements in performance per watt, transistor density, and cell utilisation. 

Intel’s EDA and IP partners are updating their tools and design flows by gaining access to the Intel 18A PDK 1.0. This will enable external foundry customers to begin their Intel 18A chip designs.

Similar Posts